Двоично десятичный реверсивный счетчик: 4. Двоично-десятичные счетчики

4. Двоично-десятичные счетчики

Двоично-десятичные (декадные) счетчики ведут счет в десятичной системе счисления. Каждая десятичная цифра от 0 до 9 кодируется четырехразрядным двоичным кодом, так называемой тетрадой. Эти устройства являются разновидностью счетчиков по модулю n. В своем составе они, как правило, имеют четыре триггера. Простейший двоично-десятичный счетчик представлен на рис. 11.

Рис. 11 Декадный счетчик

Логический элемент 2И выявляет первый запрещенный набор 1010, который соответствует десятичному числу 10, и производит сброс триггеров.

Такой счетчик хорошо работает при невысокой частоте входных им­пульсов.

Недостатком счетчика является кратковре­менное присутствие двоичного сигнала, соответствующего десятичной цифре 10, т.к. счетчик считает до 10 включительно, а затем уда­ляет это состояние. Устранение этого недостатка осуществляется аналогично техническому решению в схеме рис.

10.

С помощью нескольких декадных счетчиков можно производить подсчет количества единиц, десятков, сотен импульсов и т.д., присвоив каждому из счетчиков соответствующий вес. Данные счетчики бывают суммирующими, вычитающими и реверсивными.

5. Реверсивные двоичные счетчики

В двоичном счетчике направление счета меняется переключением выходных сигналов управления триггеров: Q и инверсия Q. Для переключения используются мультиплексоры MUX(2-1).

На рисунке 12 представлен трехразрядный реверсивный счетчик. Если на управляющий вход U подан сигнал логической единицы, то счет­чик работает как суммирующий, если ноль, то, как вычитающий.

Рис. 12 Трехразрядный реверсивный двоичный счетчик

6. Синхронные счетчики

Счетчик называется синхронным, если все его триггеры переклю­чаются одновременно общим сигналом синхронизации.

Это возможно, когда условия для переключения нужных триггеров создаются до появления сигнала синхронизации.

Из временных диаграмм двоичного суммирующего счетчика (рис. 2) следует, что любой триггер переключается, если во всех триггерах младших по отношению к нему разрядов записаны единицы. Триггер самого младшего разряда переключается каждым задним фронтом входного импульса.

Эти условия подготавливают переключение триггеров и реализуются с помощью параллельных переносов:

Функциональная схема синхронного счетчика представлена на рис. 13.

Рис. 13 Функциональная схема синхронного суммирующего счетчика

При построении этой схемы выполнялись следующие правила:

— импульсы, которые требуется сосчитать, подаются на вход первого триггера;

— каждый следующий триггер получает входной сигнал в виде результата логического умножения сигналов с выходов всех предыдущих триггеров.

Следует отметить, что для создания T-триггеров обычно используются другие типы триггеров, у которых кроме информационного входа имеется отдельный тактовый вход.

Поэтому потребность в элементе логического умножения на входе триггера D2 отпадает и в соответствующих входах у других элементов умножения тоже.

Кроме того, упрощаются функции переноса. Для суммирующих синхронных счетчиков функция переноса:

Для вычитающих счетчиков функция переноса:

Для реверсивных счетчиков функция переноса:

где: i = 0…n; n+1количество разрядов счетчика.

В синхронных суммирующих счетчиках со сквозным переносом для организации переноса в разряд i+1 используется перенос в i разряд:

Это требует меньшего числа входов логических элементов для организации цепей переноса. Недостаток – быстродействие ниже, чем у счетчиков с параллельным переносом.

Для вычитающих счетчиков функция переноса:

Структура синхронных счетчиков одинакова, переключаются ли триггеры передним или задним фронтом импульса. В зависимости от переключающего фронта смещаются временные диаграммы, т.к. изменяется момент времени переключения.

Применение счетчиков: делители частоты; генераторы случайных чисел; устройства памяти; управление работой микропроцессоров (обращение к памяти и т.д.).

Пример.

Счетчики и регистры используются для организации передачи информации по линиям связи в последовательном коде.

Поскольку передача осуществляется непрерывно, то требуется обозначить начало передачи и, соответственно, приема некоторого сообщения (слова), а так же окончания этого процесса. После того начинается трансляция очередной порции информации.

Выходной двоичный код считывается с выхода регистра сдвига бит за битом с частотой тактового сигнала. Этот процесс называется тактовой синхронизацией (C). Сигналы начала и окончания передачи слова образуют кадровую синхронизацию (S).

Импульсы C и S связаны между собой и получаются с помощью счетчика. На рисунке 14 приведена временная диаграмма передачи двоичного восьмиразрядного слова: 11001001.

Рис. 14 Временная диаграмма передачи

восьмиразрядного последовательного двоичного кода

Передача кода начинается в момент времени t1.

На временном интервале t1t2 с выхода регистра передающего устройства в линию связи поступает старший разряд кода.

Он считывается по переднему фронту тактового сигнала принимающего устройства.

Далее процесс повторяется для остальных разрядов кода. Окончание передачи обозначается сигналом S.

Скорость приема и передачи кода одинакова, сигналы управления жестко связаны межу собой, т.к. формируются с помощью общего генератора сигналов и счетчиков.

Если в данном примере использовать реверсивный регистр, то передачу информации можно осуществлять в обоих направлениях.

Двоично-десятичный счетчик — Большая Энциклопедия Нефти и Газа, статья, страница 1

Cтраница 1


Структурная схема синхронизатора с цифровым индикатором жизни капли.  [1]

Двоично-десятичный счетчик 2 начинает считать время и выдает его в цифровой форме до тех пор, пока с триггера из блока развертки не придет импульс конца развертки.  [2]

Временные диаграммы выходных состояний счетчика в коде 8421.  [3]

Двоично-десятичные счетчики значительно проще чисто двоичных в случае, когда результат счета необходимо представить в десятичной форме, потому что каждую декаду удобно декодировать в десятичный разряд.  [4]

Синхронный двоично-десятичный счетчик.  [5]

Двоично-десятичный счетчик, как и двоичный, может быть преобразован в реверсивный. Необходимые для этого логические схемы подобны описанным в разд. Поэтому здесь не дано подробное описание его работы и указаны лишь соответствующие типы ИС.  [6]

Двоично-десятичный счетчик осуществляет счет до 99 как в прямом, так и в обратном направлении с представлением результата в десятичной форме.  [7]

Структурная схема синхронизатора с цифровым индикатором жизни капли.  [8]

Двоично-десятичный счетчик 2 начинает считать время и выдает его в цифровой форме до тех пор, пока с триггера из блока развертки не придет импульс конца развертки.

 [9]

Электронный двоично-десятичный счетчик предназначен для шифрования и запоминания импульсов, получаемых в процессе преобразования. Счетчик состоит из грех декад, собранных на триггерных ячейках. Двоично-десятичный код в декадах счетчика образуется путем введения обратной связи с последнего триггера декады на два средних.  [10]

Программируемые двоичные и двоично-десятичные счетчики с синхронной и асинхронной параллельной загрузкой данных будут рассмотрены в § 7.5 и 7.6 при описании применения конкретных счетчиков, изготавливаемых в виде ИС.  [11]

Суммирующий двоично-десятичный счетчик с дешифратором выполнен аналогично рассмотренному выше двоично-десятичному счетчику преобразователя единичного кода.  [12]

В двоично-десятичных счетчиках шесть возможных внутренних состояний от j 10 ( fiio) до j 15 ( / 15) при нормальном счете ( без сбоев и ошибок) возникнуть не могут. Однако при включении питания или воздействии помех счетчик может перейти в одно из этих неиспользуемых состояний.

На рис. 7.69 а изображен полный граф переходов двоично-десятичного счетчика 155ИЕ9, по которому при необходимости можно установить его поведение при принудительном переходе в любое неиспользуемое состояние.  [13]

Микросхемы представляют собой двоично-десятичный счетчик с асинхронной установкой в состояние логического нуля. Предусмотрена возможность предварительной записи информации. Работа ИС определяется управляющи-ми входами ЕСТ, ECR, и EWR: счет импульсов будет осуществляться только при наличии высокого уровня на этих входах.  [14]

Микросхемы представляют собой двоично-десятичный счетчик.  [15]

Страницы:      1    2    3    4    5

Счетчик прямого/обратного счета

BCD (4510B) – Kitronik Ltd

Сохранить 0

Складской код: 2914

наполнитель


Цена: Без НДС Вкл. НДС
1+ 0,78 фунта стерлингов

(без НДС)

0,94 фунта стерлингов

(включая НДС)

5+ 0,65 фунта стерлингов 0,78 фунта стерлингов
100+ 0,45 фунта стерлингов 0,54 фунта стерлингов

Быстрые ссылки




Поделитесь этим продуктом

Двоично-десятичный (BCD) прямой/обратный счетчик, состоящий из четырех триггеров D-типа с синхронной синхронизацией, соединенных как счетчик. Он может считать либо вверх от нуля до девяти, либо вниз от девяти до нуля. Выход указывается в двоичном формате. Поставляется в 16-контактном DIL-корпусе.

Информация о выводах:

На приведенной ниже диаграмме показано, как работает счетчик при тактировании, сплошная линия показывает часы, когда они настроены на прямой счет, а пунктирная линия — на обратный отсчет. Второе число в каждом из полей представляет собой двоичное представление данных, которые будут присутствовать на выходах счетчика с Q3 по Q0.

В дополнение к четырем выходам с Q3 по Q0 имеются четыре входа с P3 по P0 для параллельной нагрузки (PL) с требуемым значением. Когда на вывод PL устанавливается высокий уровень, данные со входов P3–P0 загружаются в счетчик. Эту функцию можно использовать для перезагрузки счетчика любым значением.

В следующей таблице перечислены еще не упомянутые контакты и их настройки:

Имя контакта Ввод/вывод. Описание.
СЕ Ввод Включение подсчета (активный низкий уровень)
Низкий = функция подсчета включена
Высокий = тактирование линии счета не имеет эффекта, сохраняется предыдущее значение
КП Ввод Тактовый импульс — вызывает увеличение или уменьшение счета на единицу, тактирование происходит по переднему фронту
вверх/вниз Ввод Режим вверх/вниз
Низкий = нижний
Высокий = верхний
МР Ввод Основной сброс (активный высокий уровень)
Низкий уровень = нормальная работа
Высокий уровень = удержание устройства в состоянии сброса, при котором CP, PL и выходы Q3–Q0 отключены. Счет обнуляется.
ТК Выход Счетчик терминалов (активный низкий)
Низкий = счетчик вот-вот завершится
Высокий = нормальная работа.
Вывод счетчика терминала может использоваться для тактирования счетчика 2 и . TC имеет низкий уровень, когда счет равен нулю для обратного счета, или низкий, когда счет равен девяти для прямого счета. Таким образом, по мере того, как счетчик фактически зацикливается, вывод TC меняется с низкого на высокий, что может быть подано на CP следующей цифры.

Ресурсы:

  • Нажмите здесь, чтобы загрузить информацию об эксплуатации для этой детали

Задайте вопрос по этому товару

American ExpressApple PayDiners ClubGoogle PayMaestroMastercardPayPalShop PayVisa

Ваша платежная информация защищена. Мы не храним данные кредитной карты и не имеем доступа к информации о вашей кредитной карте.

Поскольку вы физически не видели товар до его прибытия, вы имеете право на полный возврат средств, если он не совсем соответствует вашим ожиданиям. Все, что мы просим, ​​это вернуть их неиспользованными в оригинальной упаковке, и вы свяжетесь с нами, чтобы сообщить нам о своих планах отправить что-то обратно. Как только мы получим товар, оплата будет списана с вашей карты. Дополнительную информацию о возврате см. в Условиях использования.

Разработка асинхронного счетчика вверх/вниз — GeeksforGeeks

Обязательное условие : Счетчик пульсаций.

В асинхронном счетчике/счетчике пульсаций выход первого триггера используется как тактовая частота для второго триггера , т.е. триггеры (FF) не синхронизируются одновременно.
Схема проще, но скорость медленнее.

Основы асинхронного счетчика:

1-битный асинхронный счетчик/счетчик пульсаций

Когда применяется тактовый импульс -ve фронта и на вход подается логическая 1 FF, тогда состояние выхода FF будет переключаться для каждого заднего фронта. Выходная частота будет f/2 (если f — тактовая частота). Он известен как двоичный счетчик или счетчик по модулю -2 или счетчик битовых пульсаций.
Имеет 2 уникальных состояния выхода (0 и 1).

2-битный асинхронный прямой счетчик.

Когда два FF соединены последовательно и выход одного FF действует как тактовый сигнал для 2-го FF. Таким образом, состояние 2-го FF изменится только тогда, когда на выходе и 1-м FF будет логическая 1 и появится задний фронт. Выходная частота Q 1 равна f/4 (если f — тактовая частота).
Может генерировать 4 разных уникальных состояния. Это известно как деление на 4 цепи или счетчик пульсаций по модулю 4.
Здесь вывод принимается как Q 1 (старший бит) Q 0 (младший бит).

Отсюда можно сделать вывод, что –

Если имеется n ФФ, то выходная частота будет делиться на 2 n . Также сгенерируйте 2n уникальных состояний.

Таким образом, частотное деление в основном формирует состояние счета.
Здесь мы видим, что выходные данные 1-го FF действуют как часы для 2-го FF. Предположим, что FF занимает 30 нс для генерации выходных данных (т.е. задержка распространения из-за вентилей). Следовательно, выход второго ФП будет получен через 60 нс. Таким образом, задержка распространения колеблется по FF и становится больше, когда количество FF увеличивается.
Таким образом, асинхронный счетчик работает слишком медленно для создания большого подсчета.

  • Как мы знаем, когда состояние вывода (т.е. Q ) предыдущего FF подается как часы для следующего FF, тогда счетчик будет выполнять обратный счет, как вы видели выше (т.е. 0 1 2 3).
    После 4-го фронта тактового импульса последовательность повторится.
  • Когда состояние дополненного вывода (т. е. Q’ ) предыдущего FF подается как часы для следующего FF, тогда счетчик будет выполнять обратный счет, как показано ниже (т. е. 3 2 1 0).
    После 4-го фронта тактового импульса последовательность повторится.

2-битный асинхронный счетчик вниз

Теперь мы разрабатываем счетчик Up/Down.
Счетчик вверх/вниз представляет собой комбинацию обоих счетчиков, в которых мы можем выполнять прямой или обратный счет, изменяя вход управления режимом.

Конструкция 3-битного асинхронного прямого/обратного счетчика
Используется больше, чем отдельный прямой или обратный счетчик.

  1. В этом случае вход управления режимом (скажем, M) используется для выбора режима повышения и понижения.
  2. Требуется комбинационная схема между каждой парой триггеров, чтобы решить, следует ли выполнять прямой или обратный счет.

Для n = 3, т.е. для 3-битного счетчика –
Максимальное количество = 2 n -1 и количество состояний 2 n .

Этапы проектирования:
Шаг 1 : Решение для ввода управления режимом –

Решение для ввода управления режимом

Когда M = 0, тогда Y= Q, поэтому он будет выполнять подсчет вверх (Как обсуждалось выше).
Когда M = 1, тогда Y = Q’, поэтому будет выполняться обратный отсчет (как обсуждалось выше).
Комбинационная схема требуется для принятия решения о режиме управления (т. е. будет ли счетчик выполнять прямой или обратный счет).
Таким образом, все возможные комбинации —

K-карта для нахождения выхода Y, который будет задан как часы для следующего FF.

K карта для нахождения Y

  

Шаг 2 : Вставка комбинационной логики между каждой парой FF –

                 

Прямой/нижний счетчик 

Временная диаграмма: 
Первоначально Q 3 = 0, Q 2 = 0, Q 1 = 0.

Временная диаграмма для 3-битного асинхронного прямой/обратный счетчик

 

Случай 1 – Когда M=0, тогда M’=1.
Поместите это в Y = M’Q + MQ’= Q Итак, Q действует как часы для следующих FF.
Таким образом, счетчик будет действовать как прямой счетчик.

     Объяснение прямого счетчика –  

  • 1-й FF подключен к логической 1. Следовательно, он будет переключаться при каждом заднем фронте.
  • 2-й вход FF подключен к Q 1 . Поэтому он меняет свое состояние, когда Q 1 = 1 и есть спадающий фронт часов.
  • Аналогично 3-й FF подключается к Q 2 . Следовательно, он меняет свое состояние, когда Q 2 = 1 и имеется спадающий фронт часов.
  • Таким образом мы можем генерировать состояния счетчика Up counter.
  • После каждого 8-го заднего фронта счетчик снова достигает состояния 0 0 0.
    Поэтому он также известен как схема деления на 8 или счетчик по модулю 8.

Случай 2 – Когда М=1, тогда М’=0.
Поместите это в Y= M’Q + MQ’= Q’ . Таким образом, Q’ действует как часы для следующих FF.
Таким образом, счетчик будет работать как счетчик вниз.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *