Quartus: ПЛИС Altera. Часть 1 Установка Quartus Пустой проект

Содержание

ПЛИС Altera. Часть 1 Установка Quartus Пустой проект

Это первая часть цикла посвященная программируемой логике и среде разработки Quartus компании Altera. В ней разбирается процесс получения дистрибутива бесплатной редакции IDE Quartus. А также процесс создания нового проекта в данной среде.

Следующая часть цикла: ПЛИС Altera. Часть 2: проектирование цифровой схемы.

Во второй части разбирается процесс добавления к проекту новых файлов. Визуальное проектирование цифровой схемы.

Данный цикл статей ориентирован на работу с платой CTRL-CPLD-EPM570 на чипе Altera Max II. Эта плата не является строго необходимой для успешного освоения материала, так как описываемый в нем проект не использует каких-то специальных возможностей Max II.

Для загрузки проекта в микросхему используется недорогой программатор совместимый c Altera USB Blaster.

Шаг 1. Получение дистрибутива Altera Quartus

Внимание! В 2015 году Altera была приобретена компанией Intel. Бренд Altera прекратил существование в июле 2018 года, адрес сайта altera.com теперь никуда не ведет. Новое имя Altera – Intel Programmable Solutions Group (PSG). Вся документация и ПО необходимые для работы с ПЛИС Altera теперь доступны на сайте intel.com

   

Для создания проектов под ПЛИС Altera используется фирменная IDE — Quartus. Версий Quartus существует бесчисленное множество, и требуется выбрать правильную под имеющуюся микросхему. Altera регулярно выкидывает поддержку старых чипов из новых версий своего ПО. В свою очередь, в новых версиях Quartus меняются требования к программному и аппаратному обеспечению компьютера. Например, Quartus 13.1 является последней версией работающий как 64‑х так и 32‑х разрядных системах.

Данная серия статей ориентирована на Quartus версии 13.1. Так как с одной стороны, он не имеет специальных требований к разрядности операционной системы. С другой — он поддерживает микросхемы серии MAX II.

Для получения дистрибутива Quartus необходимо иметь аккаунт на сайте intel.com или intel.ru К сожалению, в настоящее время (в декабре 2018 года) процедура регистрации на сайте Intel несколько затруднена. Форму регистрации отыскать непросто. На нее можно выйти только на заключительном этапе загрузки дистрибутива. Также, в процессе регистрации Вам понадобится предоставить действующий адрес электронной почты, номер сотового телефона и обязательно придумать сложный пароль.

Пока идет активный процесс слияния Intel и Altera лучше воспользоваться сторонними ресурсами для загрузки Quartus. Дистрибутив выложен в общий доступ на Yandex‑диск, ссылка для загрузки: https://yadi.sk/d/bcrz7IAAKY6dQg

Для работы с микросхемой MAX II потребуется сам Quartus, и пакет для поддержки MAX II и MAX V. Это файлы «QuartusSetupWeb-13.1.0.162.exe» и «max_web-13.1.0.162.qdz» соответственно.

В конце статьи доступна и старая версия инструкции по загрузке дистрибутива: (Архив) Получение дистрибутива Altera Quartus. По ней можно получить примерное представление о том, как загрузить дистрибутив с сайта intel, так как на сайте Intel используется Software Selector от Altera. И также как у Altera, Software Selector перенаправляет пользователя на страницу входа при попытке скачать любой файл, в случае если пользователь предварительно не зашел на сайт со своей учетной записью.

Данная страница входа — единственное место, которое содержит ссылку на форму регистрации на сайте Intel. Ссылки для регистрации располагаются в правой части страницы. Для рядовых пользователей можно использовать ссылку «Register now for an individual account». Ссылка обозначена на рисунке ниже.

Шаг 2. Установка Quartus II Web Edition 13.1

Установка САПР Quartus II не таит каких‑либо сюрпризов и проходит по отработанному алгоритму «Next → Next → Finish».

Параметры установки изменять не требуется. В дальнейшем предполагается что Quartus II был установлен с параметрами по умолчанию.

По окончании установки среда Quartus запустится автоматически. Этот автозапуск активирован по умолчанию.

При первом запуске САПР Quartus будет предложено настроить отправку телеметрии в Altera (здесь это называется TalkBack) и выбрать тип лицензии.

Отключение или включение «TalkBack» задается галочкой «Enable sending TalkBack data to Altera», которая доступна и после установки Quartus через меню Tools → Options → Internet Connectivity → кнопка «TalkBack Options…».

При запросе типа лицензии необходимо просто выбрать второй пункт: «Run the Quartus II software». Таким образом Вы выберете бесплатную лицензию.

На этом установка и первичная настройка ПО завершена и можно переходить к созданию своего первого проекта.

Шаг 3. Quartus II — создание первого проекта

Первым делом создайте папку для нового проекта. Назовите ее «firstproject».

Внимание! Путь до директории проекта не должен включать кириллических символов! С поддержкой кириллицы у Quartus II 15 и 13 версии имеются проблемы. Другие версии не тестировались.

   

Теперь запустите Quartus II. При запуске свежеустановленного Quartus II Вас встретит окно, вид которого представлен ниже.

По центру окна приводятся ссылки для быстрого доступа к некоторым функциям среды и основным разделам сайта Altera.

Для создания нового проекта воспользуйтесь мастером доступным по кнопке «New Project Wizard» или через меню File → New Project Wizard.

При запуске мастера будет выведено окно, в котором представлено краткое перечисление параметров проекта, настраиваемых в процессе работы мастера.

Нажмите «Next» и будет выполнен переход к окну представленном на скриншоте ниже. В этом окне необходимо указать рабочую директорию («What is the working directory for this project?») и название всего проекта в целом («What is the name of this project?»). А также имя его главного модуля («What is the name of the top-level design entity for this project? …»).

В качестве имени главного модуля мастер автоматически подставляет название проекта и это общая рекомендация для проектов в Quartus II, от которой не стоит отклоняться без реальной необходимости.

Пара слов о самом «главном модуле». Проект Quartus II может включать в себя множество файлов (модулей) описывающих различные функциональные блоки проектируемой интегральной схемы. И среди этого множества обязательно выделяется один файл, в котором описываются взаимосвязи между всеми блоками схемы — top‑level design entity. Для небольших проектов, содержащих всего один файл, этот единственный файл будет являться и главным модулем проекта.

На следующем шаге мастер предложит добавить в новый проект файлы из каких-либо ранее созданных проектов. Так как это первый Ваш проект, то просто перейдите к третьему шагу.

Третий шаг один из самых важных. Здесь необходимо указать конкретную модель используемой Вами микросхемы ПЛИС. Окно мастера на данном шаге представлено на скриншоте ниже. В этом окне доступно множество параметров для поэтапного отбора необходимой микросхемы из обширного списка доступных. Начать лучше всего с блока «Device Family». Здесь нужно выбрать семейство к которому относится Ваш чип. На плате CTRL-CPLD-EPM570 установлен чип EPM570T100C5N, который относится к семейству Max II.

В блоке справа – «Show in ‘Available devices’ list», можно настроить фильтр для сокращения перечня микросхем, который располагается в нижней части окна. Можно указать тип корпусировки микросхемы. Количество выводов ее корпуса. А также скорость работы ядра в условных единицах. Предпоследним идет фильтрация по названию микросхемы. Удобнее всего будет использовать именно её, так как название микросхемы уже точно известно. Но по маркировке EPM570T100C5N никаких микросхем к выбору не предлагается. Сократите название до EPM570T100C5, и выберите в появившемся списке микросхему с этим названием как ближайшую совместимую.

Если обратится к даташиту, то выяснится что последняя буква N в маркировке микросхемы обозначает лишь отсутствие свинца в упаковке чипа. Данный факт не имеет значения для Вашего проекта в Quartus II так как ядро у EPM570T100C5N и EPM570T100C5 абсолютно идентично.

Перейдите к следующему, четвертому шагу – «EDA Tool Settings». Здесь можно настроить интеграцию с различными средствами автоматизированного проектирования от сторонних разработчиков, которые используются профессиональными проектировщиками микросхем. Так как целью этой статьи является помощь в освоении САПР Quartus II, а не целого пакета приложений стоимостью многие тысячи долларов, то просто перейдите к заключительному шагу.

На последнем шаге выводится обобщенный список параметров Вашего нового проекта. Проверьте чтобы были верно заданы такие параметры как: имя и рабочая директория проекта, top-level design entity, маркировка используемой микросхемы. После чего нажмите кнопку «Finish». На этом все! Проект создан.

Возможно, Вы обратили внимание что кнопка «Finish» доступна для нажатия уже с первого шага мастера. И ее действительно можно нажать уже на первом шаге, задав только рабочую директорию, имя проекта и главного модуля. Только эта информация является абсолютно необходимой для создания нового проекта. Все остальные параметры доступны для настройки в любое время, из главного меню программы. Так, чтобы добавить еще файлов в свой проект (второй шаг мастера) используйте меню Project → Add/Remove Files in project. Для выбора или изменения модели микросхемы под которую создается проект (третий шаг мастера) используйте меню Assignments → Device. Интеграция с дополнительными инструментами разработки (предпоследний шаг мастера) – это Assignments → Settings → EDA Tool Settings.

Вообще, все настройки проекта собраны в окне, вид которого представлен на скриншоте ниже. Окно вызывается через меню Assignments → Settings.

Пункт Files – именно это окно вызывается и из главного меню программы (Project → Add/Remove Files in project). EDA Tool Settings – аналогично. Выбор микросхемы доступен по кнопке «Device…» в правом верхнем углу окна настроек проекта.

На этом первая часть статьи по обучению работе с ПЛИС компании Intel (Altera) завершается.

Следующая часть цикла: ПЛИС Altera. Часть 2: проектирование цифровой схемы.

(Архив) Получение дистрибутива Altera Quartus

Для получения дистрибутива Quartus зайдите в свой аккаунт myAltera на сайте altera.com: кнопка «LOG IN» в правом верхнем углу сайта, рядом со строкой поиска.

Если у Вас еще нет аккаунта myAltera, то Вы можете создать его просто перейдя по ссылке в самом низу формы регистрации, строка «Don’t have an account? Create one».

После входа в myAltera перейдите в центр загрузки сайта. Ссылка на момент написания: https://www.altera.com/downloads/download-center.html

Если ссылка окажется нерабочей, то перейдите в центр загрузки через домашнюю страницу своего аккаунта. Для этого выберите раздел «SUPPORT» и в нем перейдите по ссылке «Downloads», которая и приведет Вас в центр загрузки.

В центре загрузки есть прямые ссылки на загрузку последней версии Quartus в различных редакциях. Ниже располагается блок «Software Selector», прокрутите страницу до него.

В Software Selector реализовано несколько способов выбора необходимого дистрибутива Quartus, вкладки: «Select by Version» (прямой выбор по требуемой версии ПО), «Select by Device» (выбор версии ПО по наличию поддержки конкретной микросхемы), «Select by Software» (выбор программного продукта по его названию).

Наиболее удобным вариантом представляется выбор по наличию поддержки имеющейся микросхемы.

Например, если используется микросхема Altera Max II, то необходимо выбрать вкладку «Select by Device», и в блоке «Devices» раскрыть пункт «MAX series». В появившемся списке выбрать Max II.

Справа от блока Devices будет выведен список редакций и версий Quartus включающих поддержку Max II. Бесплатной редакцией является только Web Edition.

При выборе версии обратите внимание на тот факт, что Quartus II начиная с версии 14.0 работает только в 64‑битных системах. По этой причине рекомендую остановится на Quartus II 13.1.  Далее будет описана работа и процесс установки именно для тринадцатой версии.

После выбора версии Вы перейдете на страницу параметров загрузки дистрибутива Quartus II Web Edition.

Рекомендуемые параметры для загрузки приведены на скриншоте выше.

«Operating System» — Windows.

«Download Method» выбирайте по своему усмотрению. Отличие «Akamai DLM3 Download Manager» от «Direct Download» в том, что в первом случае перед загрузкой файлов самого Quartus нужно будет сначала загрузить и запустить дополнительное приложение под ОС Windows. Это приложение позволяет выбрать к загрузке одновременно несколько файлов, а также поддерживает их докачку. При выборе режима загрузки «Direct Download» файлы можно будет загружать отдельно, каждый по своей ссылке, силами Web-браузера.

На скриншоте выше выбран вариант загрузки «Direct Download».

Загрузите файлы «Quartus II Software» и «MAX II, MAX V device support». Это необходимый минимум для работы с микросхемой MAX II. 

Вконтакте

Facebook

Twitter

Intel Quartus Prime — все что нужно для работы с FPGA Intel / Блог компании Intel / Хабр

В 2015 году Intel приобрела компанию Altera — одного из известнейших разработчиков FPGA и SoC. Постепенно все продукты Altera — как аппаратные, так и программные — сменили свое название, в частности, ПО для дизайна FPGA-систем Altera Quartus стало именоваться Intel Quartus Prime. Мы часто упоминали о нем в связи с выходом очередных FPGA Intel, но никогда не описывали подробно. Сейчас есть время это сделать, тем более что со времен смены имени вышло уже несколько больших релизов, принесших новый функционал и поддержку новых устройств.

Итак, Intel Quartus Prime содержит все что вам нужно для разработки дизайна систем на базе Intel FPGA, SoC и Complex Programmable Logic Device (CPLD), начиная с самых основ и включая далее отладку взаимодействия, оптимизацию, верификацию и моделирование. В настоящее время предлагается три варианта поставки Quartus Prime:

  • Intel Quartus Prime Pro Edition предназначена для работы с продвинутыми опциями FPGA и SoC последнего поколения, такими как Intel Stratix 10, Intel Arria 10, Intel Cyclone 10 GX.
  • The Intel Quartus Prime Standard Edition включает в себя полную поддержку устройств предыдущих поколений, а также семейства Intel Cyclone 10 LP.
  • The Intel Quartus Prime Lite Edition представляет собой инструмент для работы с семействами массового сегмента, его можно скачать бесплатно без дополнительного лицензирования.

Матрица совместимости для версии 18.1 выглядит следующим образом.

Теперь об основном функционале — опять-таки применительно к различным версиям Intel Quartus Prime. Более подробную информацию можно найти на страничке продукта.С условиями лицензирования Intel Quartus Prime и ее компонентов, а также их стоимостью вы можете ознакомиться на сайте Intel.

Как установить среду разработки Quartus II

Начинающим часто непросто бывает разобраться с процессом установки среды разработки Quartus II для программируемых логических интегральных схем, или ПЛИС, фирмы Altera. Это руководство поможет сделать первый шаг в освоении такого непростого, но интересного дела, как разработка для ПЛИС.

1Выбор версииQuartus II

Для начала нужно выбрать подходящую версию среды разработки Quartus II. Выбор зависит от того, какую именно ПЛИС вы будете использовать в своей работе: устаревшие ПЛИС не поддерживаются в самых последних выпусках Квартуса. Заходим на официальный сайт фирмы Altera и внизу страницы в разделе «Software Selector» смотрим, какая версия Quartus поддерживает вашу конкретную модель ПЛИС.

Выбор версии Quartus IIВыбираем подходящую версию Quartus II

2Выбор версии Quartus IIпо устройству

Переключаясь между вкладками, можно выбирать по версии (Select by Version) Quartus, можно по устройству (Select by Device), т.е. по семейству ПЛИС, которое вы планируете использовать в своей работе. Когда выбрали подходящую версию, нажимаем на ссылку с названием версии.

Нужно помнить, что «Subscription Edition» – это платная версия. Мы должны скачать бесплатную версию Quartus II – «Web Edition». Выбор версии Quartus II по устройствуВыбираем версию Quartus II в зависимости от семейства ПЛИС, которое будем использовать

3Выбор компонентовQuartus II

Нас переводят на страницу с выбором операционной системы и компонентов Quartus II. Можно выбрать нужные компоненты по отдельности, скачать как DVD или как пакет. Проще всего зайти на ссылку «Combined Files» и скачать нужный архив с поддержкой всех требуемых устройств, кликнув по иконке с картинкой загрузки.

Выбор компонентов Quartus IIВыбор компонентов Quartus II

4Регистрацияна сайте Altera

Для продолжения нам предложат зарегистрироваться на сайте Altera. Регистрируемся. Процедура стандартная. Начинается загрузка, ждём ей окончания. Время загрузки зависит от скорости вашего интернет-соединения. Объём скачиваемых данных примерно 3-4 Гб

Регистрация на сайте AlteraРегистрация на официальном сайте Altera

5Установка среды разработкиQuartus II

Когда всё загрузилось, запускаем файл QuartusSetupWeb.exe. Выбираем место расположения Quartus II.

В пути к директории установки Quartus II не должно быть кириллицы и пробелов (например, «Мои документы» – плохое место для установки Квартуса), иначе в будущем это может вызвать проблемы при работе с ПЛИС. Хороший вариант пути для установки C:/Altera/.

Далее выбираем компоненты для установки. В зависимости от параметров вашего компьютера, процесс установки может занять до часа. Дожидаемся окончания процесса установки среды разработки Quartus II на компьютер.

Установка среды разработки Quartus IIУстановка среды разработки Quartus II

6Окончание установкиQuartus ii

Всё, среда разработки Quartus II установлена! Запускаем её по ярлыку на рабочем столе или в меню «Пуск».

Окончание установки Quartus iiОкончание установки Quartus ii

Обратите внимание

ПЛИС чувствительны к статическому электричеству, так что применяйте соответствующие меры предосторожности.

Установка Quartus II Web Edition для Linux / Хабр

В публикации рассказывается о том, как произвести установку САПР Altera Quartus II Web Edition (далее просто Quartus) в пакетном режиме на компьютер, работающий под управлением ОС Debian Linux amd64. Автор использует Quartus для работы с платами семейства Марсоход, так что в публикации также приведён небольшой обзор этого семейства, и то, какие версии Quartus для каких плат Марсоход годятся.
Материал будет полезен всем, кто начинает работать с Quartus под ОС GNU/Linux.

На сайте marsohod.org имеется инструкция по установке Quartus, однако прошло больше 5 лет с момента публикации этой инструкции, а кроме того, в инструкции приводится описание установки Quartus для ОС семейства Windows. Скачав с сайта Altera несколько версий Quartus для Linux я убедился в том, что установка Quartus не является чем-то сверхсложным, но на первых порах может вызывать затруднения: количество версий этого самого Quartus’а велико, требуется осуществлять манипуляции с гигабайтными файлами — допустить ошибку довольно легко. Отдельно меня очень расстраивала невозможность установить Quartus в пакетном режиме — т.е. подготовить в текстовом файле/скрипте конфигурацию, запустить установку и заниматься другими делами, а не ждать, когда установщик соизволит задать свой новый, неожиданный вопрос.
Для того, чтобы сделать установку совсем несложной были написаны соответствующие скрипты (см. ниже) и создана эта публикация.
Однако прежде чем устанавливать Quartus надо проделать предварительные расчёты — определиться с тем, для чего будет использоваться Quartus и уточнить, под какой ОС будем Quartus запускать.

Предварительные расчёты: платить или не платить?


На первый взгляд не очевидно, но не все Quartus’ы одинаково полезны; иначе говоря, то, что позволено Quartus’у одной версии, то совершенно не обязательно позволено Quartus’у другой версии.
Перво-наперво следует сразу уяснить себе, что есть два варианта САПР Quartus: Subscription Edition и Web Edition. Subscription Edition — это платный вариант, который позволяет работать со всеми ПЛИС, которые выпускает Altera; ознакомиться со стоимостью Subscription Edition можно вот по этой ссылке.
В дальнейшем рассматривается исключительно Web Edition — это бесплатный вариант, однако, он имеет ряд ограничений, и поддерживает далеко не все семейства ПЛИС Altera. Впрочем, платы Марсоход (да и вообще недорогие платы) сделаны на базе ПЛИС семейств Cyclone, MAX10 и MAX II, а эти семейства поддерживаются Quartus Web Edition.

Предварительные расчёты: с какой ПЛИС работать будем?


Следует иметь в виду, что САПР Quartus (даже Subscription Edition) поддерживает не все когда либо выпущенные Altera семейства ПЛИС, а лишь сравнительно современные. Если вдруг вам требуется работать с ПЛИС старых семейств, то скорее всего придётся поискать старую версию Quartus.
Уточню, что на момент написания данной публикации последней была версия Quartus 15.0.
Давайте сравним платы семейства Марсоход:
Марсоход2 Марсоход2bis Марсоход3 Марсоход3bis
Семейство ПЛИС Cyclone III Cyclone IV MAX10 MAX10
ПЛИС EP3C10E144C8 EP4CE6E22C8 10M50SAE144C8GES 10M08SAE144C8GES
— Логических элементов (LE) 10’320 6’272 49’760 8’064
— Встроенная память, бит 423’936 276’480 1’677’312 387’072
— USER I/O 91 94 101 101
версия Quartus II Web Edition <= 13.1 <= 15.0 >= 15.0 >= 15.0

Примечание: плата Марсоход в таблицу не вошла, использованная в ней ПЛИС MAX II относится совсем к иному классу нежели ПЛИС Cyclone и MAX10. Уточню лишь, что для работы с пока MAX II годятся как сравнительно старые так и новейшие версии Quartus.

Как видно, использовать одну единственную версию Quartus для работы со всеми платами Марсоход не удастся: с одной стороны плата Марсоход2 построена на сравнительно старой ПЛИС Cyclone III, и новейшие версии Quartus не работают с такой старой ПЛИС; с другой стороны платы Марсоход3 и Марсоход3bis построены на ПЛИС новейшего семейства MAX10 о существовании которой старые версии Quartus ничего не знают.
Таким образом, для охвата всех плат семейства Марсоход придётся установить сразу две версии Quartus: 13.1 и 15.0.
Замечание: конечно же для работы с Cyclone III можно установить более старую, нежели 13.1 версию Quartus, однако попытка установить какую-нибудь немолодую версию навроде 9.1 может выявить отсутствие каких-то древних системных библиотек в современной версии Debian, а разбираться с этий без должных оснований ой как не хочется.

Для пользователей других плат скажу, что уточнить, какая версия Quartus требуется для работы с вашей ПЛИС можно вот по этой ссылке.

Предварительные расчёты: 32 или 64 разряда?


Ни для кого не секрет, что в 2015 году подавляющее большинство поставляемых Intel/AMD x86-процессоров поддерживает 64-разрядный режим. Требования САПР Quartus к производительности процессора и объёму ОЗУ таковы (см., например, Quartus 15.0: Recommended Physical RAM for Altera Devices, что едва ли кто-то без крайней нужды будет запускать Quartus под 32-разрядной ОС на 32-разрядном (читай, > и >) процессоре.
Соответственно Altera заявляет, что начиная c версии 14.0 САПР Quartus поддерживает только 64-разрядные ОС.
Но, тут надо сделать пару замечаний.
Замечание первое. Даже установив весь из себя такой 64-разрядный Quartus можно обнаружить, что внутри у него всё-таки есть 32-разрядные компоненты. Например, при попытке вызвать Altera PLL Wizzard из 64-разрядного варианта Quartus 13.1 было получено следующее сообщение:
Exception in thread "main" java.lang.UnsatisfiedLinkError: /opt/altera/13.1/quartus/linux/jre/lib/i386/xawt/libmawt.so: libXtst.so.6: cannot open shared object file: No such file or directory
...
Error: Wizard "Altera PLL v13.1" cannot be launched.

Лечение в данном случае довольно несложно — надо установить отсутствующую 32-разрядную библиотеку:
# apt-get install libxtst6:i386

Замечание второе. Хотя Quartus 13.1 поддерживает как 32-разрядную ОС, так и 64-разрядную, при попытке скомпилировать проект для платы Terrasic DE1-SoC (ПЛИС Cyclone V SoC 5CSEMA5F31C6) я с удивлением обнаружил сообщение:
Error (11371): Compiling a design on Cyclone V 5CSEMA5 requires at least a 64-bit version of the Quartus II software.

Общий вывод такой: если вы ещё не перешли на 64-разрядную версию Debian Linux, то самое время это сделать.

Поддерживает ли ваш процессор 64-разрядный режим?


Самый простой способ — использовать команду lscpu. В выводе этой команды нас интересует графа «CPU op-mode(s):». Если в этой графе будет присутствовать «64-bit», то процессор поддерживает 64-разрядный режим. Вот пример вывода:
$ lscpu | grep "^CPU op-mode"
CPU op-mode(s):        32-bit, 64-bit

В отсутствии lscpu можно посмотреть графу flags: в /proc/cpuinfo: если там присутствует флаг lm, то процессор поддерживает 64-разрядный режим.

Собственно установка Quartus


Для установки Quartus версий 13.1 и 15.0 в пакетном режиме (т.е. без взаимодействия с пользователем) я написал пару скриптов, см. quartus-linux-install.
В прилагаемом README содержится краткая инструкция по применению, но на всякий случай я её продублирую.
Итак, предположим у вас имеется ОС Debian Linux amd64 (т.е. 64-разрядная ОС). Как мы выяснили, даже 64-разрядный Quartus может затребовать 32-разрядные библиотеки, поэтому давайте их установим:
dpkg --add-architecture i386
apt-get update
apt-get install libc6:i386
apt-get install libpng12-0:i386
apt-get install libfreetype6:i386
apt-get install libsm6:i386
apt-get install libxrender1:i386
apt-get install libfontconfig1:i386
apt-get install libxext6:i386
apt-get install libxtst6:i386

Скрипты написаны на expect, так что его придётся установить:
apt-get install expect

Скачиваем скрипты в каталог quartus-linux-install:
git clone https://github.com/open-design/quartus-linux-install

В каталоге quartus-linux-install имеется два подкаталога: Quartus-13.1 и Quartus-15.0. В них следует посместить отдельные файлы дистрибутива Quartus и файлы из Updates. Списки файлов для скачивания из Altera Download Center можно просмотреть в файлах MD5SUMS.
Проверить наличие требуемых файлов и их целостность можно при помощи программы md5sum, вот пример для Quartus 13.1:
$ cd quartus-linux-install/Quartus-13.1
Quartus-13.1 $ md5sum -c MD5SUMS
arria_web-13.1.0.162.qdz: OK
cyclonev-13.1.0.162.qdz: OK
cyclone_web-13.1.0.162.qdz: OK
max_web-13.1.0.162.qdz: OK
ModelSimSetup-13.1.0.162.run: OK
QuartusSetup-13.1.4.182.run: OK
QuartusSetupWeb-13.1.0.162.run: OK

Теперь надо от имени пользователя root запустить установку Quartus 13.1 в каталог /opt/altera/13.1, предварительно отключив поддержку X11, если вдруг она оказазалась включена:
Quartus-13.1# unset DISPLAY
Quartus-13.1# ./install-quartus-13.1.4.182.exp /opt/altera/13.1

Через несколько минут Quartus 13.1 вместе с обновлением до версии 13.1.4 будет установлен!
Пошаговая инструкция для Quartus II: Симуляция проекта

Какой бы проект для CPLD или FPGA мы ни делали: сложный или простой, всегда полезно произвести его симуляцию. Симуляция – это программное тестирование проекта, всегда делается до его проверки в железе. Микросхема имеет входы и выходы. Если мы зададим, например, последовательность и длительность входных импульсов, то система проектирования сможет просчитать результирующие сигналы на всех выходах. И не только на выходах! С помощью симуляции можно заглянуть внутрь всех модулей проекта и посмотреть на процессы, происходящие внутри чипа. Так, еще до тестирования в реальном чипе можно понять правильно работает проект или нет – ведь вы же знаете какие сигналы должны быть на выходах вашей микросхемы?

симуляция сигналов D-триггера

Этот урок про средство разработки Altera Quartus II и про симуляцию проекта. Дальше будет очень много картинок!

Сразу сделаю оговорку: я буду описывать симуляцию именно средствами Quartus II. Существуют дополнительные программные инструменты сторонних разработчиков, подключаемые к Qaurtus II. Они, возможно, имеют большие возможности, но и сложнее.  Один из лидеров в разработке средств тестирования электронных проектов – компания Mentor Graphics. Они, совместно с Altera, разработали программный продукт ModelSim-Altera. На сайте Альтеры можно скачать свободную версию этого продукта, но она имеет ограничение на размер проекта (кажется 10000 строк кода). Основная версия – платная, стоит около 1000$. Однако, как я сказал, мы сейчас не будем использовать ModelSim, а будем использовать встроенные в Quartus II средства.

Теперь вопрос – что мы будем симулировать. Я предлагаю сделать элемент D-триггер на логических элементах И-НЕ и посмотреть, как он работает. Я выбрал этот пример не случайно. Вот D-триггер:

D-триггер

На самом деле D-триггер буквально мистическое устройство. Без него нет цифровых микросхем. Элемент D-триггер запоминает один бит информации. В современных сложных схемах их многие многие тысячи. В чипе платы Марсоход их всего 240. Триггера запоминают состояние входной линии данных именно в момент фронта сигнала тактовой частоты. Это свойство чувствительности именно к перепаду уровня сигнала (от логического нуля до логической единицы или наоборот) тактовой частоты очень ценно.

типичная цифровая схема

Состояние цифровой схемы в каждый момент схемы описывается значениями записанными в триггера. По каждому фронту тактовой частоты триггера переключаются в новое состояние, сохраняя новое, вычисленное комбинаторными функциями значение. Так как запоминание в триггерах происходит одномоментно во всей схеме, то у комбинаторных схем есть время на вычисление своих функций – время вычисления не больше периода тактовой частоты.

Все бы хорошо, только принцип работы самого D-триггера очень сложен и не понятен. И все из-за того, что все логические элементы входящие в его состав соединены обратными связями. Чтобы понять, как же все таки работает триггер мы и будем его симулировать.

Первая часть этого описания – создание проекта Quartus II для D-триггера.
Если вы уже умеете создавать проекты в Quartus II, то можете перейти сразу к шагу 15.


Шаг 1.

Создаем проект Quartus II

Запускаем Quartus II.


Изучение САПР Intel Quartus Prime

Intel Quartus Prime

Компания Intel, с тех пор как в 2016 году приобрела компанию Altera, является мировым лидером в производстве FPGA. Среда САПР для программирования микросхем FPGA называется Intel Quartus Prime. Эта САПР позволяет проектировать логику работы микросхем схемотехнически и на языках программирования AHDL, VHDL, Verilog и других. Среда программирования Intel Quartus Prime так же позволяет производить симуляцию проектов, загружать скомпилированный образ FPGA в микросхему, вести внутрисхемную отладку проектов и многое другое.

Альтера и потом уже Интел, на протяжении многих лет совершенствовала среду Quartus. Предыдущая версия этой программы ранее называлась Altera Quartus II.

Altera Quartus II

Существуют бесплатные, но вполне функциональные версии САПР Quartus II Web Edition или последняя Quartus Prime Lite. Есть и платные подписки. Однако, даже для профессиональной работы зачастую хватает бесплатных версий квартуса.

Несомненно отличия между Quartus II и Quartus Prime есть. В первую очередь нужно обратить внимание, что для разных серий ПЛИС может потребоваться разная САПР. Ниже представлена таблицы, которая показывает, какие серии ПЛИС мы используем в своих платах серий Марсоход, Марсоход2 и Марсоход3 и какие САПР следует выбирать.

  Quartus Prime   

Cyclone III
Марсоход2

Cyclone IV
Марсоход2bis

Cyclone IV
Марсоход2RPI
MAX II
Марсоход
MAX 10
Марсоход3, Марсоход3bis 
Quartus II v13.1     

Чтобы Вам было легче осваивать программу Intel Quartus Prime мы подготовили несколько пошаговых инструкций.

Ниже представлены немного устаревшие инструкции по Altera Quartus II.

Вот они:

Следующие статьи помогут Вам разобраться со схемотехническим описанием проектов в среде Altera Quartus II:

Кроме этого мы подготовили краткое описание языка проектирования цифровой логики Verilog.

Его можно скачать здесь:

 

Решение проблем, связанных с правилами наименования в Quartus II при использовании Schematic / ХабрЗдравствуйте, Хабросообщество.

Хотел бы поделиться с Вами решением одной проблемы, с которой столкнулся и которую решал довольно долго. Причем помочь решить эту проблему не смогли куча различных радиоэлектронщиков (к которым я обращался за помощью и консультацией), а также 2 отечественных и 1 иностранный форумы по радиоэлектронике.

Я хочу сделать так, чтобы тот, кто это прочитает, никогда не «встал на грабли», на которые я встал и с которыми долго мучался.

Проблема была в том, что я не мог использовать более 1 пина на одном IDC разъеме. Если на разъеме использовалось более 1 пина (2 и более) — то при проверке осциллографом разъем молчал.

Как выяснилось теперь — проблема заключалась в правилах наименования в Quartus II при использовании Schematic.

Всем тем, кому это будет полезно или интересно — прошу под кат.


С данной проблемой сталкивался и на целевых (handmade) устройствах. Но для наглядности хотел бы продемонстрировать на ките Altera DE1 (Рисунок 1).


Рис. 1 — Altera DE1 Development and Education Board

Загрузил в Quartus II файл «Altera_DE1_pin_assignments.csv» с назначениями пинов ПЛИС (Имя в проекте — Номер пина ПЛИС), который взял с диска от кита.

На рисунке 2 вы можете увидеть имена пинов сорока-пинового IDC разъема «GPIO_0» в Pin Planner.


Рис. 2 — Quartus II Pin Planner for Altera DE1

Для наглядности более подробно опишу проблему на проекте, показанном на рисунке 3. С пина «CLOCK_50» приходит клок 50 МHz (от тактового генератора на ките, который подключен к определенному пину ПЛИС). «clock_50_to_1» — рукописный модуль на языке Verilog, который преобразует клок 50 МHz в 1 МHz посредством счетчика (аналогичные проблемы наблюдались и при использовании встроенной в Quartus II мегафункции ALTPLL). Преобразованный клок выводится на 2 IDC разъема — GPIO_0 и GPIO_1. На разъеме GPIO_0 используется 1 пин ([1]) и все работает, на разъеме GPIO_1 используется 2 пина ([1],[3]) и сигнала нет.


Рис. 3 — Скриншот Quartus II и фото с осциллографа

Для наглядности на рисунке в спойлере приведена фотография разъемов:

Один из пользователей форума Altera Forum, заметил «краем глаза» какие-то странные предупреждения при компиляции проекта (который показан на рисунке 3) в Quartus II, и сказал: «Я с этим не сталкивался, что делать не знаю, но советую вам делать модуль верхнего уровня проекта не в schematic, а на языке Verilog или VHDL».Текст предупреждений следующий:Warning (275080): Converted elements in bus name «GPIO_1» using legacy naming rules. Make any assignments on the new names, not on the original names.
Предупреждение (275080): Преобразованные элементы в шине с именем «GPIO_1» используют унаследованные правила наименования. Сделайте любые назначения для новых имен, не для исходных имен.

— Warning (275081): Converted element name(s) from «GPIO_1[1]» to «GPIO_11»
— Предупреждение (275081): Преобразование имени элемента из «GPIO_1[1]» в «GPIO_11»

— Warning (275081): Converted element name(s) from «GPIO_1[3]» to «GPIO_13»
— Предупреждение (275081): Преобразование имени элемента из «GPIO_1[3]» в «GPIO_13»

Critical Warning (169085): No exact pin location assignment(s) for 2 pins of 4 total pins
Предупреждение особой важности (169085): Не назначено точное расположение пина(ов) для 2 пинов из 4 пинов в общем

— Info (169086): Pin GPIO_11 not assigned to an exact location on the device
— Информация (169086): Не назначено точное расположение пина GPIO_11 на устройстве

— Info (169086): Pin GPIO_13 not assigned to an exact location on the device
— Информация (169086): Не назначено точное расположение пина GPIO_13 на устройстве

Одним словом, проанализировав предупреждения, стало понятно, что Quartus II переименовывает
«GPIO_1[1]» в «GPIO_11»
«GPIO_1[3]» в «GPIO_13»
А для имен GPIO_11 и GPIO_13 конкретные пины ПЛИС не назначены.

Что по этому поводу сказано в базе знаний Altera:Программное обеспечение Max+Plus II имеет ограниченную поддержку для имен шин в схематике и будет иногда переименовывать элементы в шине, чтобы работать в пределах этих ограничений.

Например программное обеспечение Max+Plus II переименовало бы имена шин a[1..0], b[1], b[0] в a1, a0, b1, b0 соответственно.

Программное обеспечение Quartus II первоначально сохраняло такое поведение для обратной совместимости, но теперь поддерживает способ, который сохраняет естественные имена шин (с квадратными скобками) в Вашем проекте.

Однако, чтобы избежать изменения поведения программного обеспечения для существующих проектов, Quartus II продолжает использовать более старые соглашения обозначения для наследования BDF (Block Design File) и GDF (Graphic Design File) файлов проекта.

Для нового схематика программное обеспечение Quartus II сохраняет настоящие имена (с квадратными скобками) в проекте во время компиляции.

Правила наименования для Quartus II версии 7.1 and более ранних версий:
(СХЕМА ПЕРЕИМЕНОВАНИЯ Max+Plus II):

Если шина a[0..3] разбивается на части, то создаются 4 пина: a0, a1, a2, a3

Правила наименования для Quartus II версии 7.2 and более поздних версий:
(СХЕМА ПЕРЕИМЕНОВАНИЯ Quartus II):

Если шина a[0..3] разбивается на части, то создаются 4 пина: a[0], a[1], a[2], a[3]


Итак мы выяснили, что существует две схемы переименования — Max+Plus II и Quartus II.

Для проекта можно явно переключать эти схемы правил наименования:
Assignments => Settings => Analysis & Synthesis Settings => More Settings => Block Design Naming

Для наглядности в спойлере скриншоты переключения правил переименования по шагам:

Как видно из рисунка 4, если явно переключить схему переименования на Quartus II, то проблема решается.


Рис. 4 — Скриншот Quartus II и фото с осциллографа


Если начать использовать пины IDC разъема по порядку (от 0 до n), то даже при выставленной схеме переименования Auto, Quartus II не будет ничего переименовывать.


Рис. 5 — Скриншот Quartus II и фото с осциллографа

Для наглядности на рисунке в спойлере приведена фотография разъемов:

P.S. Я буду очень рад, если смогу облегчить кому-то жизнь и съэкономить его время.

Центр загрузки для ПЛИС

Обратитесь к таблицам ниже, чтобы найти последнюю версию программного обеспечения Quartus для поддержки вашего семейства устройств. Используйте ссылки для загрузки конкретной версии программного обеспечения.

Agilex Series

Семья Последняя поддержка
Quartus Prime версия
(Pro)
Последняя поддержка
Quartus Prime / II Версия
(Стандарт / Подписка)
Последняя поддержка
Quartus Prime / II Версия
(Lite / Web)
Agilex Последний выпуск

Arria Series

серия циклонов

Stratix Series

MAX Series

HardCopy Series

Семейство Последние поддерживаемые
Quartus II Версия
(Подписка)
Последние поддерживаемые
Quartus II Версия
(Интернет)
HardCopy IV 13.0sp1
HardCopy III 13.0sp1
HardCopy II 13.0sp1

Legacy Devices

Семейство Последние поддерживаемые
Quartus II Версия
(Подписка)
Последние поддерживаемые
Quartus II Версия
(Интернет)
ACEX 1K 9.0 9,0
APEX 20K 9,0 9,0
APEX 20KE 9,0 9,0
FLEX 10K 9.0 9,0
FLEX 6000 9,0 9,0
HardCopy Stratix 9,0 9,0
,

Центр поддержки Quartus

Добро пожаловать в центр поддержки программного обеспечения Intel ® Quartus® Prime Design.

Пакет программного обеспечения Intel® Quartus® Prime Design включает в себя все инструменты разработки программного обеспечения, необходимые для воплощения вашей Intel® FPGA от концепции до производства.Темы на этой веб-странице проведут вас через все функции программного обеспечения Intel® Quartus® Prime. Выберите интересующую вас область и перейдите к нужным ресурсам в процессе проектирования Intel® Quartus® Prime.

Программное обеспечение Intel® Quartus® Prime включает в себя все программные средства, необходимые для определения, моделирования, реализации и отладки вашего дизайна FPGA.Чтобы начать, нажмите на кнопки ниже, чтобы загрузить и лицензировать программное обеспечение, а также получить краткое руководство. Затем просмотрите некоторые учебные материалы, предлагаемые для программного обеспечения Intel® Quartus® Prime, — от коротких онлайн-руководств до занятий в течение всего дня под руководством инструктора.

В чем разница между Standard и Pro Edition?

В разделе «Начало работы» мы перечисляем основные ресурсы для начала работы, в том числе руководства по быстрому старту, ссылку на основную документацию и ссылку на доступные онлайн-курсы и курсы обучения под руководством инструктора.

Руководства пользователя Intel® Quartus® Prime Software

Intel® Quartus® Prime Pro Edition Руководства пользователя:

Intel® Quartus® Prime Standard Edition, Руководства пользователя:

Intel предлагает несколько видов обучения, как онлайн, так и лично, чтобы помочь вам быстрее освоить процесс проектирования Intel® Quartus® Prime.Вот некоторые предлагаемые учебные классы, чтобы вы начали.

Обучение Intel® Quartus® Prime Software

Планирование ввода-вывода

выполняется на ранней стадии проектирования ПЛИС, чтобы обеспечить успешное размещение на целевом устройстве при соблюдении выделенных выводов и ограничений по времени.Программное обеспечение Intel® Quartus® Prime Pro Edition предлагает два инструмента для управления сложным процессом удовлетворения многих ограничений размещения ввода / вывода.

Интерфейсный планировщик управляет сложностью интеграции нескольких модулей с жесткими требованиями к назначению выводов (например, ядра интеллектуальной собственности (IP) PCI Express *, DDR и фазовой автоподстройки частоты (PLL)).Планировщик интерфейса динамически взаимодействует с Intel® Quartus® Prime Fitter, чтобы проверить законность размещения во время планирования. Вы можете оценить различные планы этажей, используя интерактивные отчеты, чтобы точно спланировать наилучшую реализацию.

Pin Planner — это инструмент назначения контактов низкого уровня. Используйте это, чтобы вручную разместить выводы ввода / вывода и указать скорость нарастания и силу движения.

Планирование ввода / вывода — Документация по программному обеспечению

Планирование ввода / вывода — Документация по устройству

Планирование ввода / вывода — учебные классы

Планирование ввода / вывода — Другие ресурсы

Вы можете выразить свой дизайн, используя несколько методов ввода дизайна:

  • Использование языка описания оборудования (HDL)
    • Verilog
    • SystemVerilog
    • VHDL
  • Platform Designer , графический инструмент ввода для структурированного соединения сложных модулей
  • Другие высокоуровневые методы ввода

Интеллектуальная собственность

В дополнение к непосредственному проектированию, Intel® FPGA поддерживают большой портфель интеллектуальной собственности (IP), разработанный специально для использования в Intel® FPGA.

Intel предлагает несколько учебных курсов по HDL, от бесплатных онлайн-обзоров до целых однодневных занятий под руководством инструктора.

Программное обеспечение Intel® Quartus® Prime предлагает несколько шаблонов для часто используемых логических элементов, таких как регистры, выбранные назначения сигналов, одновременные назначения сигналов и вызовы подпрограмм.Шаблоны доступны в Verilog, SystemVerilog и VHDL.

Если вы не уверены, как лучше написать конкретную функцию, чтобы гарантировать ее правильную реализацию, вам следует обратиться к этим шаблонам. Система шаблонов полностью описана в разделе «Вставка кода HDL из раздела предоставленного шаблона» в Руководстве пользователя по рекомендациям по проектированию.

Стили кодирования HDL

оказывают существенное влияние на качество результатов для логических схем.Инструменты синтеза позволят оптимизировать дизайн, но для достижения точных результатов вам необходимо кодировать в стиле, который будет легко распознаваться инструментом синтеза как конкретные логические конструкции.

Кроме того, существуют хорошие методы проектирования, которые следует соблюдать при разработке общей цифровой логики и, в частности, для устройств на основе LAB. Управление методологиями сброса логики, задержками конвейера и правильной генерацией синхронного сигнала — вот некоторые примеры хороших методов цифрового проектирования. Некоторые ресурсы для изучения хороших практик кодирования HDL перечислены ниже.

Ресурсы для хорошего руководства по стилю кодирования HDL

ПЛИС Intel® поддерживают большой портфель интеллектуальной собственности (IP), разработанный специально для использования в ПЛИС Intel®. Каждый IP включает имитационную модель для проверки проекта перед внедрением устройства.См. Следующие ссылки для получения дополнительной информации о доступных IP-ядрах и IP-экосистеме в программном обеспечении Intel® Quartus® Prime.

Ресурсы интеллектуальной собственности

Platform Designer — это графический инструмент системной интеграции, который позволяет быстро интегрировать систему сложных компонентов.

Используя стандартизированную структуру межсетевого взаимодействия (Avalon® или AMBA * AXI *), вы можете интегрировать интеллектуальную собственность третьих сторон, IP-адрес вашей собственной организации или модули черного ящика, которые еще предстоит определить. Все IP-ядра Intel® FPGA соответствуют спецификациям интерфейса Platform Designer.

Platform Designer генерирует HDL для воплощения в остальной части вашего дизайна FPGA.

Документация разработчика платформы

Платформа Дизайнер (ранее Qsys) Учебные курсы

Примеры проектирования платформ

Программное обеспечение Intel® Quartus® Prime поддерживает RTL и моделирование на уровне шлюза в поддерживаемых симуляторах EDA.

Моделирование включает в себя:

  • Настройка рабочей среды вашего симулятора
  • Компиляция библиотек имитационных моделей
  • Запуск вашей симуляции

Программное обеспечение Intel® Quartus® Prime поддерживает использование скриптового потока моделирования для автоматизации обработки моделирования в предпочитаемой среде моделирования.

В программном обеспечении Intel® Quartus® Prime Standard Edition у вас есть возможность использовать поток инструментов NativeLink, который автоматизирует запуск выбранного вами симулятора.

Интеграция симулятора HDL в поток программных инструментов Intel® Quartus® описана в следующем разделе программного обеспечения Intel® Quartus®. Руководство пользователя | Справочник:

При использовании Platform Designer для настройки IP-ядер и систем создаются сценарии настройки среды моделирования для поддерживаемых имитаторов EDA.

При создании нескольких систем Platform Designer необходимо запустить «Создать сценарий установки симулятора для IP», чтобы создать комбинированный сценарий для ваших систем в конструкторе платформ.

Вы можете включить созданные сценарии моделирования ядра IP в сценарий моделирования верхнего уровня, который управляет моделированием всего проекта. После запуска ip-setup-simulation используйте следующую информацию, чтобы скопировать разделы шаблона и изменить их для использования в новом файле сценария верхнего уровня.

Вы также можете обратиться к следующим видео для получения инструкций по настройке симуляции.

В программном обеспечении Intel® Quartus® Prime Standard Edition у вас есть возможность использовать NativeLink. Это позволяет автоматически запускать все шаги, необходимые для имитации вашего дизайна после изменения исходного кода или IP-адреса.

Функция NativeLink объединяет ваш симулятор EDA с программным обеспечением Intel® Quartus® Prime Standard Edition, автоматизируя следующее:

  • Генерация специфичных для симулятора файлов и скриптов симуляции.
  • Сборник симуляционных библиотек.
  • Автоматический запуск вашего симулятора после анализа и разработки программного обеспечения Intel® Quartus® Prime, анализа и синтеза или после полной компиляции.

Ресурсы для настройки NativeLink Simulation

Программное обеспечение Intel® Quartus® Prime Standard Edition поддерживает следующие симуляторы EDA:

  • Aldec Active-HDL
  • Aldec Riviera-PRO
  • Cadence Incisive Enterprise
  • Mentor Graphics * ModelSim * -Intel FPGA (в комплекте с программным обеспечением Intel® Quartus® Prime)
  • Mentor Graphics * ModelSim * — PE
  • Mentor Graphics * ModelSim * — SE
  • Ментор Графика * QuestaSim
  • Synopsys * VCS и VCS MX

Интеграция симулятора HDL в поток программных инструментов Intel® Quartus® описана в разделе «Моделирование конструкций FPGA Intel» в Руководстве пользователя Intel Quartus Prime Pro Edition: моделирование сторонних производителей.

На этапе логического синтеза в потоке разработки программного обеспечения Intel® Quartus® будет принят код уровня передачи регистров (RTL) и будет создан список цепей примитивов более низкого уровня (список соединений после синтеза). Затем список соединений после синтеза будет использоваться в качестве входных данных для Fitter, который будет размещать и маршрутизировать проект.

Программное обеспечение Intel® Quartus® Prime и Quartus® II включает усовершенствованный интегрированный синтез и интерфейсы с другими инструментами синтеза сторонних производителей. Программное обеспечение также предлагает схематические средства просмотра списков соединений, которые можно использовать для анализа структуры проекта и просмотра интерпретации программного обеспечения вашим проектом.

Результаты синтеза

можно просматривать с помощью средств просмотра Quartus® Netlist как после разработки RTL, так и после картирования технологий.

Синтез Обучение и демонстрации

Заголовок Описание
Использование программного обеспечения Quartus® Prime: введение
(ODSW1100)

Ознакомьтесь с базовой средой разработки программного обеспечения Quartus® Prime.Вы узнаете об основных принципах проектирования ПЛИС и о том, как использовать программное обеспечение Quartus® Prime в этом процессе.

Это 1,5-часовой онлайн-курс.

Серия разработки программного обеспечения Quartus® Prime: Foundation
(стандарт) (ODSW1110)

Узнайте, как использовать программное обеспечение Quartus® Prime для разработки дизайна FPGA или CPLD от первоначального проектирования до программирования устройства.

Это 3,5-часовой онлайн-курс.

Серия разработки программного обеспечения Quartus® Prime: Foundation
(IDSW110)

Создайте новый проект, введите файлы проекта, скомпилируйте и настройте свое устройство, чтобы увидеть, как проект работает в системе.Введите временные ограничения и проанализируйте проект с помощью Timing Analyzer. Узнайте, как программное обеспечение взаимодействует с общими инструментами EDA, используемыми для синтеза и моделирования.

Это 8-часовой курс под руководством инструктора.

Инструмент высокоуровневого синтеза Intel (HLS) берет описание конструкции, написанное на C ++, и генерирует код RTL, оптимизированный для FPGA Intel®.

Для получения дополнительной информации о Intel® HLS Compiler, включая документацию, примеры и учебные курсы, посетите страницу поддержки HLS.

,

Quartus Prime Design Примеры

В следующих примерах приведены инструкции по реализации различных функций с помощью программного обеспечения для проектирования Altera ® Quartus ® II. Для получения дополнительной информации о различных методах ввода дизайна см. Справку Quartus II.

Проекты Quartus II

Особенности Quartus II

Сторонний инструмент проверки Quartus II

Как использовать Quartus II Примеры дизайна

Эти примеры разработки содержат ссылки на загружаемый исполняемый файл (. exe ), заархивированный файл (. zip ) или файл архива проекта Quartus II (. qar ). Эти файлы содержат все необходимые файлы дизайна и проекта для использования примера. Чтобы использовать примеры, выполните следующие действия:

  1. Выберите ссылку для скачивания
  2. Сохраните файл на свой жесткий диск
  3. Запустите. exe или распакуйте. zip / .qar

Если проект представляет собой файл Quartus:

  1. Откройте программное обеспечение Quartus II и выберите Открыть проект (из меню «Файл»)

  2. Выберите пример дизайна < имя файла >.файл четверки

Если проект является файлом QAR:

  1. Откройте программное обеспечение Quartus II и выберите Восстановить архивированный проект (из меню Проект)

  2. В поле Имя архива перейдите к примеру проекта < filename > .qar file
  3. В поле Папка назначения перейдите к папке назначения
  4. Выбрать ОК

Примеры дизайна Отказ от ответственности

Эти примеры конструкции могут использоваться только в устройствах Altera Corporation и остаются собственностью Altera.Они предоставляются на условиях «как есть» и как жилье; следовательно, все гарантии, заявления или гарантии любого рода (явные, подразумеваемые или установленные), включая, помимо прочего, гарантии товарной пригодности, ненарушения или пригодности для конкретной цели, специально отвергаются. Altera прямо не рекомендует, не предлагает и не требует, чтобы эти примеры использовались в сочетании с любым другим продуктом, не предоставленным Altera.

,
Настройка аппаратного обеспечения для программирования в Quartus II Software

Драйверы требуются для некоторых аппаратных средств программирования Altera ® . Если вы еще этого не сделали, проверьте страницу информации о драйвере, чтобы определить, требуется ли драйвер.Если драйвер требуется, вы должны установить его, прежде чем продолжить с шагами ниже.

Quartus II Версия 4.2 и позже

  1. Запустите программное обеспечение Quartus ® II.
  2. Выберите Programmer в меню Сервис. Откроется окно программатора.
  3. Нажмите кнопку Настройка оборудования … , чтобы открыть окно Настройка оборудования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне Доступные элементы оборудования.
  4. Нажмите Add Hardware кнопку, чтобы открыть окно Add Hardware, если желаемое оборудование для программирования не указано в окне Available hardware items.
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК .
  5. Выберите оборудование для программирования, которое вы хотите использовать, выбрав его в списке «Доступные элементы оборудования».
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

Quartus II версии 4.0 и 4.1

  1. Запустите программное обеспечение Quartus II.
  2. Выберите Programmer в меню Сервис. Откроется окно программатора.
  3. Нажмите кнопку Настройка оборудования … , чтобы открыть окно Настройка оборудования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне Доступные элементы оборудования.
  4. Нажмите Add Hardware кнопку, чтобы открыть окно Add Hardware, если желаемое оборудование для программирования не указано в окне Available hardware items.
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК .
  5. Выберите аппаратное обеспечение для программирования, которое вы хотите использовать, щелкнув его в окне «Доступные элементы оборудования», а затем нажмите кнопку «Выбрать оборудование ». Ваш выбор будет указан в качестве выбранного оборудования.
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

Quartus II версия 3.0

  1. Запустите программное обеспечение Quartus II.
  2. Выберите Programmer в меню Сервис. Откроется окно программиста.
  3. Нажмите кнопку Hardware , чтобы открыть окно настройки оборудования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне Доступные элементы оборудования.
  4. Нажмите Add Hardware кнопку, чтобы открыть окно Add Hardware, если желаемое оборудование для программирования не указано в окне Available hardware items.
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК .
  5. Выберите аппаратное обеспечение для программирования, которое вы хотите использовать, щелкнув его в окне «Доступные элементы оборудования», а затем нажмите кнопку «Выбрать оборудование ». Ваш выбор будет указан в качестве выбранного оборудования.
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

Quartus II версия 2.2

  1. Запустите программное обеспечение Quartus II
  2. Выберите Programmer в меню Сервис. Откроется окно программиста
  3. Нажмите кнопку Setup , чтобы открыть окно настройки оборудования для программирования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне Доступные элементы оборудования.
  4. Нажмите Add Hardware кнопку, чтобы открыть окно Add Hardware, если желаемое оборудование для программирования не указано в окне Available hardware items.
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК .
  5. Выберите аппаратное обеспечение для программирования, которое вы хотите использовать, щелкнув его в окне «Доступные элементы оборудования», а затем нажмите кнопку «Выбрать оборудование ». Ваш выбор будет указан в качестве выбранного оборудования.
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

Quartus II версия 2.1

  1. Запустите программное обеспечение Quartus II.
  2. Выберите Открыть программатор в меню Обработка. Откроется окно программиста.
  3. Нажмите кнопку Setup , чтобы открыть окно настройки оборудования для программирования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне Доступные элементы оборудования.
  4. Нажмите Add Hardware кнопку, чтобы открыть окно Add Hardware, если желаемое оборудование для программирования не указано в окне Available hardware items.
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК .
  5. Выберите аппаратное обеспечение для программирования, которое вы хотите использовать, щелкнув его в окне «Доступные элементы оборудования», а затем нажмите кнопку «Выбрать оборудование ». Ваш выбор будет указан в качестве выбранного оборудования.
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

Quartus II версии 2.0 и ранее

  1. Запустите программное обеспечение Quartus II.
  2. Выберите Открыть программатор в меню Обработка. Откроется окно программиста.
  3. Нажмите кнопку Setup , чтобы открыть окно настройки оборудования для программирования.
    1. Выбранное аппаратное обеспечение идентифицируется как выбранное в настоящий момент.
    2. Аппаратное обеспечение для программирования, которое уже установлено, отображается в окне «Оборудование / Порт».
  4. Нажмите кнопку Добавить , чтобы открыть окно «Добавить оборудование», если желаемое оборудование для программирования отсутствует в окне «Оборудование / Порт».
    1. Выберите соответствующий кабель для программирования или аппаратное обеспечение для программирования в списке Тип оборудования.
    2. При необходимости выберите соответствующий порт и скорость передачи.
    3. Нажмите ОК
  5. Выберите оборудование для программирования, которое вы хотели бы использовать, дважды щелкнув его в окне «Оборудование / Порт».Ваш выбор будет указан в качестве выбранного оборудования.
  6. Нажмите Закрыть .
  7. Ваше программное обеспечение было установлено.

Для получения подробной информации о том, как программировать устройства Altera, обратитесь к Справке Quartus II.

,

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *