Параллельный регистр: принцип работы и применение в цифровой электронике

Что такое параллельный регистр. Как устроен параллельный регистр. Для чего используются параллельные регистры в цифровых схемах. Какие бывают типы параллельных регистров. Как работает параллельный регистр.

Содержание

Что такое параллельный регистр и для чего он нужен

Параллельный регистр — это устройство для хранения и передачи многоразрядных двоичных чисел в цифровых схемах. Он состоит из нескольких триггеров, количество которых определяет разрядность регистра.

Основные функции параллельного регистра:

  • Хранение многоразрядных двоичных чисел
  • Параллельная запись и считывание данных
  • Временное хранение промежуточных результатов вычислений
  • Согласование скоростей работы различных устройств

Параллельные регистры широко применяются в цифровых схемах для организации памяти, буферизации данных и выполнения арифметико-логических операций над многоразрядными числами.

Устройство и принцип работы параллельного регистра

Структурно параллельный регистр состоит из набора триггеров, количество которых равно разрядности регистра. Каждый триггер хранит один двоичный разряд числа.


Основные элементы параллельного регистра:

  • Триггеры для хранения разрядов числа
  • Параллельные входы для записи данных
  • Параллельные выходы для считывания данных
  • Вход синхронизации для управления записью

При подаче сигнала на вход синхронизации происходит одновременная запись всех разрядов числа в триггеры регистра. Считывание данных может выполняться в любой момент времени с выходов триггеров.

Типы параллельных регистров

В зависимости от способа ввода/вывода информации различают следующие типы параллельных регистров:

Регистры хранения

Обеспечивают только параллельный ввод и вывод данных. Используются для временного хранения многоразрядных чисел.

Регистры сдвига

Позволяют осуществлять сдвиг хранимого числа влево или вправо на заданное количество разрядов. Применяются для выполнения арифметических операций.

Реверсивные регистры

Обеспечивают возможность как параллельного, так и последовательного ввода/вывода данных. Удобны для преобразования формата представления чисел.

Применение параллельных регистров

Параллельные регистры находят широкое применение в цифровой электронике:


  • Оперативная память компьютеров
  • Буферные регистры для согласования устройств
  • Регистры общего назначения в микропроцессорах
  • Счетчики и делители частоты
  • Генераторы кодовых последовательностей

Использование параллельных регистров позволяет эффективно организовать обработку и хранение многоразрядных двоичных чисел в цифровых системах.

Условно-графическое обозначение параллельного регистра

На принципиальных схемах параллельные регистры обозначаются следующим образом:

  • Прямоугольник с обозначением RG внутри
  • Входы D0-Dn для записи разрядов числа
  • Выходы Q0-Qn для считывания разрядов
  • Вход С для подачи синхросигнала

Рядом с каждым входом и выходом указывается вес соответствующего двоичного разряда. Количество входов и выходов определяется разрядностью регистра.

Микросхемы параллельных регистров

Промышленностью выпускаются различные микросхемы параллельных регистров:

  • К155ИР1 — четырехразрядный регистр
  • К555ИР8 — восьмиразрядный регистр
  • К1533ИР23 — 16-разрядный регистр

При выборе микросхемы учитываются следующие параметры:


  • Разрядность регистра
  • Быстродействие
  • Энергопотребление
  • Нагрузочная способность выходов

Использование готовых микросхем позволяет упростить проектирование цифровых устройств на основе параллельных регистров.

Как работает параллельный регистр на примере

Рассмотрим принцип работы 4-разрядного параллельного регистра:

  1. На входы D0-D3 подаются уровни логических сигналов, соответствующие разрядам записываемого числа.
  2. На вход С подается короткий импульс синхронизации.
  3. По переднему фронту синхроимпульса происходит одновременная запись всех разрядов в триггеры регистра.
  4. Записанное число появляется на выходах Q0-Q3 и хранится в регистре до следующей записи.

Например, для записи двоичного числа 1010 необходимо подать на входы регистра следующие уровни: D3=1, D2=0, D1=1, D0=0. После подачи синхроимпульса это число будет записано в регистр.

Преимущества использования параллельных регистров

Параллельные регистры обладают рядом преимуществ по сравнению с другими способами хранения многоразрядных чисел:


  • Высокое быстродействие за счет одновременной записи всех разрядов
  • Возможность мгновенного считывания хранимого числа
  • Простота сопряжения с другими цифровыми устройствами
  • Удобство выполнения арифметических и логических операций
  • Возможность наращивания разрядности путем каскадирования

Эти преимущества обуславливают широкое применение параллельных регистров в современной цифровой электронике.


Параллельный регистр

Параллельный регистр служит для запоминания многоразрядного двоичного (или недвоичного) слова. Количество триггеров, входящее в состав параллельного регистра определяет его разрядность.

Схема четырехразрядного параллельного регистра

В условно-графическом обозначении возле каждого входа D указывается степень двоичного разряда, который должен быть запомнен в этом разряде. Точно таким же образом обозначаются и выходы регистра. То, что микросхема является регистром, указывается в центральном поле условно-графического обозначения символами RG.

В приведённом на рисунке условно-графическом обозначении параллельного регистра инверсные выходы триггеров не показаны. В промышленно выпускающихся микросхемах параллельных регистров инверсные выходы триггеров часто не выводятся наружу для экономии количества выводов корпуса.

Условно-графическое обозначение параллельного регистра

При записи информации в параллельный регистр все биты (двоичные разряды) должны быть записаны одновременно. Поэтому все тактовые входы триггеров, входящих в состав регистра, объединяются параллельно. Для уменьшения входного тока вывода синхронизации C на этом входе в качестве усилителя часто ставится инвертор.

Следует помнить, что назначение разрядов является условным. Если по каким либо причинам (например, с точки зрения разводки печатной платы) удобно изменить нумерацию разрядов, то это можно свободно сделать. При перенумерации входов регистров нужно не забывать, точно таким же образом, изменить номера выходов.

Для реализации параллельного регистра можно использовать как триггеры с статическим, так и с динамическим входом синхронизации.

При использовании регистров со статическим входом тактирования следует соблюдать осторожность, так как при единичном потенциале на входе синхронизации C. сигналы с входов регистра будут свободно проходить на его выходы.

Промышленностью выпускаются четырёхразрядные и восьмиразрядные микросхемы параллельных регистров. Для построения восьмиразрядных микросхем обычно используются регистры со статическим входом синхронизации.

Кроме параллельного соединения триггеров для построения регистров используются последовательное соединение этих элементов.

Последовательный регистр (регистр сдвига или сдвиговый регистр) обычно служит для преобразования последовательного кода в параллельный и наоборот. Применение последовательного кода связано с необходимостью передачи большого количества двоичной информации по ограниченному количеству соединительных линий. При параллельной передаче разрядов требуется большое количество соединительных проводников. Если двоичные разряды последовательно бит за битом передавать по одному проводнику, то можно значительно сократить размеры соединительных линий на плате (и размеры корпусов микросхем).

Принципиальная схема последовательного (сдвигового) регистра, собранного на основе D триггеров и позволяющего осуществить преобразование последовательного кода в параллельный, приведена на рисунке 1. Обратите внимание, что если для параллельных регистров подходили как триггеры работающие по потенциалу (триггеры-защелки), так и триггеры, работающие по фронту, то для реализации последовательного (сдвигового) регистра подходят только D триггеры, работающие по фронту!

Рисунок 1. Схема последовательного (сдвигового) регистра

Внутри сдвигового регистра триггеры соединены последовательно, то есть выход первого соединён с входом второго и т.д.

Рисунок 2. Условно-графическое обозначение последовательного (сдвигового) регистра

Входы синхронизации в последовательных (сдвиговых) регистрах, как и в параллельных регистрах, объединяются. Это обеспечивает одновременность смены состояния всех триггеров, входящих в состав последовательного (сдвигового) регистра.

Преобразование последовательного кода в параллельный в последовательном (сдвиговом) регистре производится следующим образом. Отдельные биты двоичной информации последовательно подаются на вход сдвигового регистра D0. Каждый бит сопровождается отдельным тактовым импульсом синхронизации, который поступает на вход синхронизации последовательного регистра C.

После поступления первого тактового импульса логический уровень, присутствующий на входе D0, запоминается в первом триггере последовательного (сдвигового) регистра и поступает на его выход, а так как он соединён с входом второго триггера, то и на его вход.

Если бы последовательный (сдвиговый) регистр был собран на D триггерах, работающих по потенциалу, то этот бит тут же записался во второй D триггер! В нашем случае этого не происходит, так как к этому моменту фронт на входе синхронизации C уже закончился.

После поступления второго тактового импульса логический уровень, присутствующий на входе второго триггера последовательного (сдвигового) регистра, запоминается в нем и поступает на его выход, а так как он соединён с входом третьего триггера, то и на его вход. Одновременно следующий бит входного последовательного кода запоминается в первом триггере последовательного (сдвигового) регистра.

После поступления четвертого тактового импульса в триггерах последовательного (сдвигового) регистра будут записаны логические уровни бит, которые последовательно присутствовали на его входе D0. Теперь этими битами можно воспользоваться, например, для отображения на индикаторах.

Пусть на вход последовательного (сдвигового) регистра поступает сигнал, временная диаграмма которого изображена на рисунке 3, тогда состояние выходов этого регистра будет последовательно принимать значения, записанные в таблице 1.

Рисунок 3. Временная диаграмма работы сдвигового регистра

На рисунке 3 вместе с логическими уровнями записываются значения бит, которые передаются по соединительной линии или присутствуют на выходах сдвигового регистра.

такта

1

2

3

1

Q0

1

0

1

1

Q1

X

1

0

1

Q2

X

X

1

0

Q3

X

X

X

1

Исследование регистров | Лаборатория Электронных Средств Обучения (ЛЭСО) СибГУТИ

Лабораторная работа выполняется с помощью учебного лабораторного стенда LESO2.

1 Цель работы

Целью работы является изучение принципа работы схем триггерных регистров и приобретение практических навыков в выполнении микроопераций на регистрах в статическом режиме.

2 Краткие теоретические сведения

Регистры предназначены для хранения и преобразования многоразрядных двоичных чисел. Для запоминания отдельных разрядов числа могут применяться триггеры различных типов. Одиночный триггер можно считать одноразрядным регистром.

Занесение информации в регистр называется операцией записи. Операция выдачи информации из регистра – считывание.

Перед записью информации в регистр, его необходимо обнулить.

Классификация регистров:

  1. по способу ввода/вывода информации:
  • параллельные (регистры хранения) – информация вводится и выводится одновременно по всем разрядам;
  • последовательные (регистры сдвига) – информация бит за битом «проталкивается» через регистр и выводится также последовательно;
  • комбинированные – параллельный ввод и последовательный вывод (и наоборот).
  1. по способу представления информации:
  • однофазные – информация представляется в прямом или обратном (инверсном) виде;
  • парафазные – информация представляется и в прямом, и в обратном виде.

2.1 Параллельный регистр

Параллельные регистры осуществляют прием и выдачу информации в параллельном коде, а это значит, что для передачи каждого разряда используется отдельная линия.

Для записи информации в регистр на его входных выводах (D0-D3) нужно установить логические уровни, после чего на вход синхронизации (C) подать разрешающий импульс — логическую единицу. После этого на выходах Q0-Q3 появится записанное слово. Регистры запоминают входные сигналы только в момент времени, определяемый сигналом синхронизации.

Рисунок 2.1 – Условно-графическое обозначение параллельного регистра
 
Рисунок 2. 2 – Схема параллельного регистра

2.1 Последовательные регистры

Кроме параллельного соединения триггеров для построения регистров используется последовательное соединение этих элементов.

Последовательный регистр (регистр сдвига) обычно служит для преобразования последовательного кода в параллельный и наоборот. Применение последовательного кода связано с необходимостью передачи большого количества двоичной информации по ограниченному количеству соединительных линий. При параллельной передаче разрядов требуется большое количество соединительных проводников. Если двоичные разряды последовательно бит за битом передавать по одному проводнику, то можно значительно сократить размеры соединительных линий на плате (и размеры корпусов микросхем).

Принципиальная схема последовательного регистра, собранного на основе D-триггеров и позволяющего осуществить преобразование последовательного кода в параллельный, приведена на рисунке 2.3.

Рассмотрим работу этого регистра. Можно предположить, что в начале все триггеры регистра находятся в состоянии логического нуля, т.е. Q0=0, Q1=0, Q2=0, Q3=0. Если на входе D-триггера Т1 имеет место логический 0, то поступление синхроимпульсов на входы «С» триггеров не меняет их состояния.

Рисунок 2.3 – Схема последовательного регистра

Как следует из рисунка 2.3, синхроимпульсы поступают на соответствующие входы всех триггеров регистра одновременно и записывают в них то, что имеет место на их информационных входах. На информационных входах триггеров Т2, Т3, Т4 – уровни логического «0», т.к. информационные входы последующих триггеров соединены с выходами предыдущих триггеров, находящихся в состоянии логического «0», а на вход «D» первого триггера, по условию примера, подается «0» из внешнего источника информации. При подаче на вход «D» первого триггера «1», с приходом первого синхроимпульса, в этот триггер запишется «1», а в остальные триггеры – «0», т.к. к моменту поступления фронта синхроимпульса на выходе триггера Т1 ещё присутствовал логический «0». Таким образом, в триггер Т1 записывается та информация (тот бит), которая была на его входе «D в момент поступления фронта синхроимпульса и т.д.

При поступлении второго синхроимпульса логическая «1» с выхода первого триггера, запишется во второй триггер, и в результате происходит сдвиг первоначально записанной «1» с триггера Т1 в триггер Т2, из триггера Т2 в триггер Т3 и т.д. Таким образом, производится последовательный сдвиг поступающей на вход регистра информации (в последовательном коде) на один разряд вправо в каждом такте синхроимпульсов.

После поступления четырёх синхроимпульсов регистр оказывается полностью заполненным разрядами числа, вводимого через последовательный ввод «D». В течение следующих четырёх синхроимпульсов производится последовательный поразрядный вывод из регистра записанного числа, после чего регистр оказывается полностью очищенным (регистр окажется полностью очищенным только при условии подачи на его вход уровня «0» в режиме вывода записанного числа).

Рисунок 2.4 – Временные диаграммы, поясняющие работу регистра сдвига

3 Задание к работе

3.1 Исследовать параллельный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3.1.

Рисунок 3.1 – Схема 4-х битного параллельного регистра

Записать целые десятичные числа от 0 до 15 в двоичной системе счисления в регистр и считать их. Заполнить таблицу 3.1.

Таблица 3.1 – Коды, записанные в параллельный регистр

Записываемое десятичное числоСчитанное из регистра двоичное число
0 
1 
 
15 

3.2 Исследовать последовательно-параллельный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3. 2.

Рисунок 3.2 – Схема последовательно-параллельного регистра

Элемент 74164 – это последовательно-параллельный регистр.

ВНИМАНИЕ! Для того, что бы выполнить блок Antitinkling, прочтите инструкцию Борьба с дребезгом контактов.

Записать нечётное число в интервале от 32 до 56 в последовательном коде, поразрядно продвигая его влево путём нажатия кнопки Button. Записать результат в отчёт.

3.3 Исследовать параллельно-последовательный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3.3.

Рисунок 3.3 – Схема параллельно-последовательного регистра

Элемент 74166 представляет собой параллельно-последовательный регистр.

Чтобы записать на входе число необходимо установить на входе STLD логический 0 и подать синхроимпульс, чтобы начать считывать записанное число необходимо на вход STLD подать логическую 1 и подавать синхроимпульсы.

Записать число в интервале от 32 до 56 в параллельном коде и поразрядно считывать его на выходе. Записать результат в отчёт.

4 Содержание отчёта
  1. Цель работы.
  2. Схема исследования параллельного 4-х битного регистра с таблицей 3.1 .
  3. Схема последовательно-параллельного регистра с результатами исследований.
  4. Выводы по каждому заданию.

5 Контрольные вопросы
  1. Назначение регистров.
  2. По каким признакам классифицируются регистры?
  3. Чем определяется разрядность регистров?
  4. Назначение параллельного регистра.
  5. Объяснить принцип работы последовательного регистра.
  6. Объяснить принцип работы параллельного регистра.
  7. Объяснить принцип работы последовательно-параллельного регистра.
  8. Объяснить принцип работы параллельно-последовательного регистра.

8-битный регистр сдвига с параллельным вводом/последовательным выводом — 74HC165N — COM-09519

Устарело COM-09519 RoHS


Примечание: Снятый с производства продукт
Этот продукт был исключен из нашего каталога и больше не продается. Эта страница доступна для тех, кто ищет спецификации и просто любопытных.

Избранное Любимый 2

Список желаний

Пенсионер COM-09519 RoHS

Примечание: Снятый с производства продукт
Этот продукт был исключен из нашего каталога и больше не продается. Эта страница доступна для тех, кто ищет спецификации и просто любопытных.

  • Описание
  • Функции
  • Документы

Замена: Нет. Мы больше не носим этот сдвиговый регистр в нашем каталоге. Эта страница предназначена только для справки.

SN74HC165N — это аккуратная маленькая ИС, которая принимает на вход до 8 параллельных линий и выдает один последовательный вывод. Вы даже можете последовательно соединить 2+, чтобы добавить еще больше параллельных линий. Это отличный способ увеличить количество входов на микроконтроллере.

Этот чип работает с любым источником питания в диапазоне 2-6 В постоянного тока и с тактовой частотой до 29 МГц (@6 В постоянного тока).

Поставляется в корпусе DIP с 16 контактами.

  • Широкий диапазон рабочего напряжения от 2 В до 6 В
  • Преобразование параллельных данных в последовательные
  • Выходы
  • могут управлять до 10 нагрузками LSTTL
  • Низкое энергопотребление, макс. 80 мкА ICC
  • Выходной сигнал ±4 мА при 5 В
  • Низкий входной ток: макс. 1 мкА
  • Дополнительные выходы
  • Прямая приоритетная нагрузка (данные) Входы
  • Входы синхронизирующих импульсов

8-битный регистр сдвига с параллельным вводом/последовательным выводом — 74HC165N Справка и ресурсы по продукту

  • Ролики
  • Необходимые навыки
Название видео

Основной навык:

Пайка

Этот навык определяет сложность пайки конкретного изделия. Это может быть пара простых паяных соединений или потребуются специальные инструменты для оплавления.

2 Пайка

Уровень навыка: Новичок — Количество контактов увеличивается, и вам придется определять полярность компонентов, а некоторые компоненты могут быть немного сложнее или расположены близко друг к другу. Вам может понадобиться припой или флюс.
Просмотреть все уровни навыков


Основной навык:

Программирование

Если плате нужен код или каким-то образом взаимодействует, вам нужно знать, как программировать или взаимодействовать с ней. Навык программирования связан с общением и кодом.

2 Программирование

Уровень навыка: Новичок . Вам потребуется более глубокое понимание того, что такое код и как он работает. Вы будете использовать программное обеспечение начального уровня и инструменты разработки, такие как Arduino. Вы будете иметь дело непосредственно с кодом, но доступны многочисленные примеры и библиотеки. Датчики или экраны будут связываться с последовательным или TTL.
Просмотреть все уровни навыков


Основной навык:

Электрические прототипы

Если требуется питание, вам нужно знать, сколько, что делают все контакты и как их подключить. Возможно, вам придется обращаться к таблицам данных, схемам и знать все тонкости электроники.

3 Электрическое прототипирование

Уровень квалификации: Компетентный — Вам потребуется обратиться к таблице данных или схеме, чтобы знать, как использовать компонент. Ваше знание таблицы данных потребует только основных функций, таких как требования к питанию, распиновка или тип связи. Кроме того, вам может понадобиться блок питания с напряжением более 12 В или силой тока более 1 А.
Просмотреть все уровни навыков


  • Комментарии 20
  • Отзывы 0

Отзывов пока нет.

8-битный регистр сдвига с параллельными входами

Функциональная схема CD4014

CD4014 представляет собой КМОП-ИС, содержащую сдвиговый регистр с восемью битами. Он имеет один последовательный вход и восемь параллельных входных контактов для предварительной загрузки регистра. Вы можете использовать его как параллельно-последовательный преобразователь, например, для считывания состояния 8 кнопок всего с тремя контактами Arduino.

Сдвиговый регистр — это компонент, состоящий из триггеров, соединенных последовательно, так что каждый бит сдвигается к следующему триггеру при каждом тактовом импульсе. Ниже приведена типичная 4-битная реестра сдвига, изготовленная из D Flip-Flops:

4-разрядная схема сдвига

Обзор вывода

Название контакта PIN # Тип Описание Описание. 16 Питание Напряжение питания (от +3 до +15 В)
GND 8 Power Ground (0V)
D0-D7 1,4,5,6,7,13,14,15 Input Parallel data input pins
Q5,Q6,Q7 2,3,12 Output Buffered outputs from the last three bits
PE 9 Input Parallel Enable
CP 10 Вход Clock pin to sync the input
Ds 11 Input Serial input pin
Pin overview for the 4014 IC

How To Use The 4014 IC

4014 Pinout

First of all, you необходимо подключить контакт VDD к положительной клемме питания, а контакт GND к отрицательной клемме питания. Вы можете использовать напряжение питания от 3В до 15В. Хотя некоторые версии микросхемы 4014 поддерживают до 20В. Точные значения проверьте в таблице данных.

Чтобы загрузить данные с параллельных входов, установите на контакте разрешения параллельного доступа (PE) HIGH. Подключите ваши входные значения к параллельным входным контактам (D0 – D7). При следующем восходящем фронте тактового сигнала на выводе CLK параллельные данные будут загружены в регистр сдвига.

Чтобы сдвинуть данные на одну позицию, используйте контакт CLK, сохраняя низкий уровень на контакте параллельного включения (PE). В этом режиме вы также можете загружать данные последовательно через порт 9 D S .0007 .

Вывод CLK сдвигает данные при каждом переднем фронте.

CD4014 Пример схемы

Вот практический пример, который вы можете построить с помощью CD4014. Эта схема позволяет считывать состояние 8 переключателей, используя только три контакта ввода-вывода на Arduino:

Пример схемы с использованием CD4014

Для сборки этой схемы вам потребуется:

  • Arduino (или другой микроконтроллер)
  • Чип 4014, например CD4014BE
  • 8 резисторов (100 кОм)
  • 8 переключателей

В этой схеме вы должны создать код, чтобы Arduino сначала установила D3 HIGH (параллельное включение), а затем предоставила нарастающий фронт на D2 для вывода CLK.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *